Webbläsaren som du använder stöds inte av denna webbplats. Alla versioner av Internet Explorer stöds inte längre, av oss eller Microsoft (läs mer här: * https://www.microsoft.com/en-us/microsoft-365/windows/end-of-ie-support).

Var god och använd en modern webbläsare för att ta del av denna webbplats, som t.ex. nyaste versioner av Edge, Chrome, Firefox eller Safari osv.

Measurement Point Selection for In-Operation Wear-Out Monitoring

Författare

Summary, in English

In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wearout monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circuitry this paper presents a method to reduce the number of necessary measurement points. The proposed method is based on identification of wear-out sensitive interconnects and selects a small number of measurement points that can be used to observe the state of all the wear-out sensitive interconnects. The method is demonstrated on ISCAS85 benchmark ICs with encouraging results.

Publiceringsår

2011

Språk

Engelska

Publikation/Tidskrift/Serie

14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)

Dokumenttyp

Konferensbidrag

Ämne

  • Electrical Engineering, Electronic Engineering, Information Engineering

Conference name

14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)

Conference date

2011-04-13 - 2011-04-15

Status

Published

ISBN/ISSN/Övrigt

  • ISBN: 978-1-4244-9755-3