Webbläsaren som du använder stöds inte av denna webbplats. Alla versioner av Internet Explorer stöds inte längre, av oss eller Microsoft (läs mer här: * https://www.microsoft.com/en-us/microsoft-365/windows/end-of-ie-support).

Var god och använd en modern webbläsare för att ta del av denna webbplats, som t.ex. nyaste versioner av Edge, Chrome, Firefox eller Safari osv.

Self-aware computing in the Angstrom processor

Författare

  • Henry Hoffmann
  • Jim Holt
  • George Kurian
  • Eric Lau
  • Martina Maggio
  • Jason E. Miller
  • Sabrina M. Neuman
  • Mahmut Sinangil
  • Yildiz Sinangil
  • Anant Agarwal
  • Anantha P. Chandrakasan
  • Srinivas Devadas

Summary, in English

Addressing the challenges of extreme scale computing re- quires holistic design of new programming models and sys- tems that support those models. This paper discusses the Angstrom processor, which is designed to support a new Self-aware Computing (SEEC) model. In SEEC, applications explicitly state goals, while other systems components provide actions that the SEEC runtime system can use to meet those goals. Angstrom supports this model by ex- posing sensors and adaptations that traditionally would be managed independently by hardware. This exposure allows SEEC to coordinate hardware actions with actions specified by other parts of the system, and allows the SEEC runtime system to meet application goals while reducing costs (e.g., power consumption).

Publiceringsår

2012

Språk

Engelska

Sidor

259-264

Publikation/Tidskrift/Serie

Proceedings of the 49th Annual Design Automation Conference

Dokumenttyp

Konferensbidrag

Förlag

Association for Computing Machinery (ACM)

Ämne

  • Control Engineering

Nyckelord

  • Performance
  • Design
  • Experimentation
  • Adaptive Systems
  • Self-aware Computing

Conference name

49th Annual Design and Automation Conference

Conference date

2012-06-03

Conference place

San Francisco, CA, United States

Status

Published

Forskningsgrupp

  • LCCC

ISBN/ISSN/Övrigt

  • ISBN: 978-1-4503-1199-1